-- 會員 / 註冊 --  
 帳號:
 密碼:
  | 註冊 | 忘記密碼
3/26 新書到! 3/19 新書到! 3/14 新書到! 12/12 新書到!
購書流程Q & A站務留言版客服信箱
3ds MaxMayaRhinoAfter EffectsSketchUpZBrushPainterUnity
PhotoShopAutoCadMasterCamSolidWorksCreoUGRevitNuke
C#CC++Java遊戲程式Linux嵌入式PLCFPGAMatlab
駭客資料庫搜索引擎影像處理FluentVR+ARANSYS深度學習
單晶片AVROpenGLArduinoRaspberry Pi電路設計CadenceProtel
HadoopPythonStm32CortexLabview手機程式AndroidiPhone
可查書名,作者,ISBN,3dwoo書號
詳細書籍分類

Xilinx FPGA權威設計指南:基于Vivado 2018集成開發環境

( 簡體 字)
作者:何賓類別:1. -> 電子工程 -> FPGA
譯者:
出版社:電子工業出版社Xilinx FPGA權威設計指南:基于Vivado 2018集成開發環境 3dWoo書號: 50069
詢問書籍請說出此書號!

有庫存
NT售價: 645

出版日:10/1/2018
頁數:548
光碟數:0
站長推薦:
印刷:黑白印刷語系: ( 簡體 版 )
加入購物車 加到我的最愛
(請先登入會員)
ISBN:9787121349379
作者序 | 譯者序 | 前言 | 內容簡介 | 目錄 | 
(簡體書上所述之下載連結耗時費功, 恕不適用在台灣, 若讀者需要請自行嘗試, 恕不保證)
作者序:

譯者序:

前言:

全球知名的可編程邏輯元器件生產廠商——美國Xilinx公司,于2012年發布了新一代Vivado集成開發環境,使得新一代FPGA的設計環境和設計方法發生了重大變化。2014年年初,Xilinx新一代UltraScale結構的FPGA也進入量產階段。這些都標志著未來在高性能數據處理方面(如人工智能、云計算和物聯網等),FPGA將發揮越來越重要的作用。
Xilinx新一代集成開發環境Vivado突出基于知識產權(Intellectual Properity,IP)核的設計方法,更加體現系統級設計的思想,進一步增強了設計者對FPGA底層物理約束和時序約束能力,這樣設計者可以嘗試選擇不同的設計策略,然后評估在不同策略下的最終實現結果,從中找到最佳的設計方案。
Vivado設計套件所集成的高級綜合工具HLS,真正實現了從軟件算法到FPGA物理硬件實現的自動轉換,加速了算法模型的構建與實現過程,顯著提高了設計效率,縮短了產品的上市時間,并將FPGA的設計方法從傳統的基于HDL的RTL級設計提高到了基于C/C++/SystmC的高層次設計,為FPGA的設計方法帶來了一場深刻的變革。
本書是在《Xilinx FPGA設計權威指南——Vivado 2014集成開發環境》(電子工業出版社,2015)的基礎上,針對讀者提出的意見和建議,對原書進行了大幅度修訂。主要修訂內容包括:
(1)采用Xilinx公司最新的Vivado 2018設計套件,書中所有的設計實例均在Vivado 2018設計套件中進行了驗證。
(2)在介紹UltraScale結構時,增加了對內部單元原理和用法的進一步解釋與說明,用于幫助讀者能夠正確地理解并掌握Xilinx FPGA底層原語的原理及使用方法,以實現RTL級上的最佳設計。
(3)在介紹第2章和第3章內容時,重新提供了設計實例,并增加了設計實例的難度,以幫助讀者能夠進一步學習和掌握Vivado設計套件在工程模式和非工程模式下的完整設計流程,并增加了對一些設計流程細節的說明。此外,對從用戶HDL代碼中生成HDL例化模板的方法進行了詳細介紹。
(4)在第5章介紹IP封裝和調用的內容時,增加了不包含源文件的IP封裝和調用的方法。
(5)在第7章介紹Vivado調試工具時,增加了虛擬輸入輸出(Virtual Input Output,VIO)IP核在調試設計中的應用。
(6)在第8章介紹部分可重配置原理及實現的內容時,增加了工程模式下的可重配置實現過程,以及部分重配置控制器PRC的原理及應用。
(7)考慮Vivado HLS工具正逐漸大規模廣泛應用于Xilinx FPGA設計中,因此本書大幅度增加了對HLS原理內容的介紹,使所涵蓋的內容更全面。本次修訂后,將HLS相關內容擴展為兩章,分別介紹HLS原理和實現過程。并且,對HLS實現過程的一些細節問題進行了進一步的詳細說明,并對設計實例中的一些代碼和用戶策略進行了修正,以滿足讀者對掌握HLS設計方法的要求。
參加本書編寫的人員還有王中正、張艷輝和湯宗美。其中,王中正參與第3章和第6章設計實例的驗證,張艷輝參與第7章設計實例的驗證,湯宗美參與第8章設計實例的驗證。全書由何賓統稿和定稿。
本書的修訂得到Xilinx大中華區新任大學計劃經理陸佳華先生和Xilinx亞太區傳媒總監張俊偉女士的大力支持和幫助,他們為本書的編寫提供了大量的資料和硬件設計平臺。回想起來,2008年作者與Xilinx大學計劃建立正式的合作關系,到今年整整十年了。在這十年間,相繼得到 Xilinx公司不同技術專家的無私幫助和鼎力支持,才能使作者編寫并出版一系列Xilinx FPGA方面的著作和教材,以促進該技術在中國的普及和推廣,進一步提升國內相關技術的科技實力。也要感謝電子工業出版社的編輯和相關工作人員,他們的辛勤工作保證了本書的高質量出版。
由于FPGA技術發展迅速,作者水平有限,書中難免會有疏漏之處,歡迎讀者批評指正。


作 者
2018年9月于北京
內容簡介:

本書系統地介紹了Xilinx新一代集成開發環境Vivado 2018的設計方法、設計流程和具體實現。全書共11章,內容包括Xilinx新一代UltraScale結構、Vivado集成設計環境導論、Vivado工程模式基本設計實現、Vivado非工程模式基本設計實現、創建和封裝用戶IP核流程、Vivado高級約束原理及實現、Vivado調試工具原理及實現、Vivado部分可重配置原理及實現、Vivado HLS原理詳解、Vivado HLS實現過程詳解、HDMI顯示屏驅動原理和實現。 本書參考了Xilinx新一代的Vivado 2018設計套件設計資料,理論與應用并重,將Xilinx新一代的設計理論貫穿在具體的設計實現中。
目錄:

第 章 Xilinx新一代UltraScale結構 1
1.1 UltraScale結構特點 1
1.2 可配置邏輯塊 2
1.2.1 可配置邏輯塊的特點 2
1.2.2 多路復用器 6
1.2.3 進位邏輯 9
1.2.4 存儲元素 13
1.2.5 分布式RAM 16
1.2.6 只讀存儲器(ROM) 18
1.2.7 移位寄存器 21
1.3 時鐘資源和時鐘管理模塊 23
1.3.1 時鐘資源 25
1.3.2 時鐘管理模塊 28
1.4 塊存儲器資源 29
1.5 專用的DSP模塊 33
1.6 SelectIO資源 36
1.7 高速串行收發器 41
1.8 PCI-E模塊 43
1.9 Interlaken集成塊 43
1.10 Ethernet模塊 43
1.11 系統監控器模塊 44
1.12 配置模塊 44
1.13 互聯資源 45
第 章 Vivado集成設計環境導論 46
2.1 Vivado系統級設計流程 46
2.2 Vivado功能和特性 48
2.3 Vivado中電路結構的網表描述 49
2.4 Vivado中工程數據的目錄結構 50
2.5 Vivado中Journal文件和Log文件功能 50
2.5.1 Journal文件(Vivado.jou) 50
2.5.2 Log文件(Vivado.log) 51
2.6 Vivado兩種設計流程模式 52
2.6.1 工程模式和非工程模式不同點比較 53
2.6.2 工程模式和非工程模式命令的不同 53
2.7 Vivado中的XDC文件 55
2.7.1 XDC的特性 55
2.7.2 XDC與UCF比較 55
2.7.3 約束文件的使用方法 56
2.7.4 約束順序 56
2.7.5 XDC約束命令 58
2.8 Vivado集成設計環境的啟動方法 59
2.9 Vivado集成設計環境主界面 60
2.10 Vivado設計主界面及功能 63
2.10.1 流程處理主界面及功能 63
2.10.2 工程管理器主界面及功能 64
2.10.3 工作區窗口 66
2.10.4 設計運行窗口 67
2.11 Vivado支持的屬性 68
第 章 Vivado工程模式基本設計實現 76
3.1 創建新的設計工程 76
3.2 創建并添加一個新的設計文件 80
3.3 RTL詳細描述和分析 85
3.3.1 詳細描述的實現 85
3.3.2 生成HDL例化模板 87
3.4 設計綜合和分析 89
3.4.1 綜合過程的關鍵問題 89
3.4.2 設計綜合選項 89
3.4.3 執行設計綜合 92
3.4.4 綜合報告的查看 96
3.5 設計行為級仿真 97
3.6 創建實現約束 102
3.6.1 實現約束的原理 102
3.6.2 I/O規劃器功能 103
3.6.3 添加引腳約束 104
3.6.4 添加簡單的時鐘約束 109
3.7 設計實現和分析 111
3.7.1 設計實現原理 112
3.7.2 設計實現選項 112
3.7.3 設計實現及分析 117
3.7.4 靜態時序分析 123
3.8 設計時序仿真 126
3.9 生成編程文件 127
3.9.1 配置器件屬性 127
3.9.2 生成可編程文件 128
3.9.3 生成可編程文件選項 128
3.10 下載比特流文件到FPGA 130
3.11 生成并燒寫PROM文件 132
第 章 Vivado非工程模式基本設計實現 136
4.1 非工程模式基本命令和功能 136
4.1.1 非工程模式基本命令列表 136
4.1.2 典型Tcl腳本的使用 137
4.2 Vivado集成開發環境分析設計 138
4.2.1 啟動Vivado集成開發環境 138
4.2.2 打開設計檢查點的方法 139
4.3 修改設計路徑 139
4.4 設置設計輸出路徑 140
4.5 讀取設計文件 140
4.6 運行設計綜合 141
4.7 運行設計布局 142
4.8 運行設計布線 144
4.9 生成比特流文件 145
4.10 下載比特流文件 145
第 章 創建和封裝用戶IP核流程 148
5.1 Vivado定制IP流程 148
5.2 創建并封裝包含源文件的IP 149
5.2.1 創建新的用于創建IP的工程 149
5.2.2 設置定制IP的庫名和目錄 150
5.2.3 封裝定制IP的實現 151
5.3 調用并驗證包含源文件的IP設計 155
5.3.1 創建新的用于調用IP的工程 156
5.3.2 設置包含調用IP的路徑 156
5.3.3 創建基于IP的系統 158
5.3.4 系統行為級仿真 162
5.3.5 系統設計綜合 165
5.3.6 系統實現和驗證 166
5.4 創建并封裝不包含源文件的IP 166
5.4.1 創建網表文件 166
5.4.2 創建新的設計工程 167
5.4.3 設置定制IP的庫名和目錄 168
5.4.4 封裝定制IP的實現 168
5.5 調用并驗證不包含源文件的IP設計 169
5.5.1 創建新的用于調用IP的工程 169
5.5.2 設置包含調用IP的路徑 170
5.5.3 創建基于IP的系統 170
5.5.4 系統設計綜合 171
第 章 Vivado高級約束原理及實現 173
6.1 時序檢查概念 173
6.1.1 基本術語 173
6.1.2 時序路徑 173
6.1.3 建立和保持松弛 175
6.1.4 建立和保持檢查 176
6.1.5 恢復和去除檢查 179
6.2 時序約束概念 180
6.2.1 時鐘定義 180
6.2.2 時鐘組 186
6.2.3 I/O延遲約束 189
6.2.4 時序例外 192
6.3 生成時序報告 205
6.4 添加時序約束 212
6.4.1 時序約束策略1 212
6.4.2 時序約束策略2 214
6.5 物理約束原理 219
6.5.1 網表約束 219
6.5.2 布局約束 220
6.5.3 布線約束 221
6.6 布局約束實現 223
6.6.1 修改綜合屬性 224
6.6.2 布局約束方法 224
6.7 布線約束實現 227
6.7.1 手工布線 227
6.7.2 進入分配布線模式 228
6.7.3 分配布線節點 230
6.7.4 取消分配布線節點 230
6.7.5 完成并退出分配布線模式 230
6.7.6 鎖定LUT負載上的單元輸入 231
6.7.7 分支布線 231
6.7.8 直接約束布線 233
6.8 修改邏輯實現 233
6.9 配置約束原理 235
6.10 增量編譯 235
6.10.1 增量編譯流程 235
6.10.2 運行增量布局和布線 236
6.10.3 使用增量編譯 238
6.10.4 增量編譯高級分析 240
第 章 Vivado調試工具原理及實現 241
7.1 設計調試原理和方法 241
7.2 創建新的設計 242
7.2.1 創建新的FIFO調試工程 242
7.2.2 添加FIFO IP到設計中 243
7.2.3 添加頂層設計文件 246
7.2.4 使用HDL例化添加FIFO到設計中 247
7.2.5 添加約束文件 251
7.3 網表插入調試探測流程方法及實現 253
7.3.1 網表插入調試探測流程的方法 253
7.3.2 網表插入調試探測流程的實現 255
7.4 使用添加HDL屬性調試探測流程 261
7.5 使用HDL例化調試核調試探測流程 262
7.6 VIO原理及應用 267
7.6.1 設計原理 267
7.6.2 添加VIO核 268
7.6.3 生成比特流文件 271
7.6.4 下載并調試設計 272
第 章 Vivado部分可重配置原理及實現 274
8.1 可重配置導論 274
8.1.1 可重配置的概念 274
8.1.2 可重配置的應用 275
8.1.3 可重配置的特點 278
8.1.4 可重配置術語解釋 280
8.1.5 可重配置的要求 282
8.1.6 可重配置的標準 283
8.1.7 可重配置的流程 285
8.2 基于工程的部分可重配置實現 285
8.2.1 設計原理 285
8.2.2 建立可重配置工程 289
8.2.3 創建新的分區定義 291
8.2.4 添加新的可重配置模塊 292
8.2.5 設置不同的配置選項 294
8.2.6 定義分區的布局 298
8.2.7 執行DRC 301
8.2.8 實現第一個運行配置并生成比特流文件 302
8.2.9 實現第二個運行配置并生成比特流文件 304
8.2.10 實現第三個運行配置并生成比特流文件 304
8.2.11 實現第四個運行配置并生成比特流文件 305
8.2.12 下載不同運行配置的部分比特流 305
8.3 基于非工程的部分可重配置實現 307
8.3.1 查看腳本 307
8.3.2 綜合設計 309
8.3.3 實現第一個配置 310
8.3.4 實現第二個配置 315
8.3.5 驗證配置 317
8.3.6 生成比特流 317
8.3.7 部分重配置FPGA 318
8.4 部分重配置控制器PRC的原理及應用 320
8.4.1 部分重配置控制器原理 320
8.4.2 實現原理 324
8.4.3 創建和配置新的設計 325
8.4.4 添加ILA核 327
8.4.5 添加和設置PRC核 328
8.4.6 設置不同的配置選項 331
8.4.7 定義分區的布局 334
8.4.8 實現第一個運行配置并生成比特流文件 335
8.4.9 實現第二個運行配置并生成比特流文件 337
8.4.10 實現第三個運行配置并生成比特流文件 337
8.4.11 創建板支持包工程 338
8.4.12 創建應用程序工程 339
8.4.13 創建zynq_fsbl應用 343
8.4.14 創建啟動鏡像 343
8.4.15 從SD卡啟動引導系統 345
第 章 Vivado HLS原理詳解 347
9.1 高級綜合工具概述 347
9.1.1 高級綜合工具的功能和特點 347
9.1.2 Vivado HLS工具的優勢 348
9.1.3 HLS中使用術語說明 349
9.1.4 從C中提取硬件結構 350
9.1.5 不同的命令對HLS綜合結果的影響 352
9.2 高級綜合工具調度和綁定 354
9.2.1 高級綜合工具調度 355
9.2.2 高級綜合工具綁定 355
9.3 C代碼的關鍵屬性 356
9.3.1 函數 357
9.3.2 類型 357
9.3.3 循環 364
9.3.4 數組 366
9.3.5 I/O端口 366
9.3.6 運算符 367
9.4 C代碼級和RTL級算法驗證 368
9.5 添加命令 370
9.6 延遲和吞吐量的概念 373
9.6.1 設計延遲 373
9.6.2 設計吞吐量 374
9.7 改善延遲 374
9.7.1 延遲最小化 374
9.7.2 用戶延遲的定義 375
9.7.3 循環的處理 377
9.8 改善吞吐量 380
9.8.1 數據流優化 381
9.8.2 流水線優化 384
9.9 性能瓶頸——數組 390
9.9.1 數組分割 391
9.9.2 數組重組 394
9.9.3 數據打包 395
9.10 改善面積和資源 396
9.10.1 綁定配置 396
9.10.2 分配命令 397
9.10.3 指定資源 397
9.10.4 函數內聯 398
9.10.5 循環合并和平坦化 401
9.10.6 映射數組 401
9.10.7 任意精度整數 403
9.11 I/O類型 404
9.11.1 組合和時序邏輯設計 404
9.11.2 Vivado HLS I/O選項 405
9.11.3 模塊級協議說明 408
9.11.4 端口級I/O協議 412
9.12 命令和編譯指示 422
第 章 Vivado HLS實現過程詳解 425
10.1 FIR濾波器不同語言的描述方式 425
10.1.1 C語言描述風格 425
10.1.2 C++語言描述風格 427
10.1.3 SystemC語言描述風格 428
10.2 Vivado HLS不支持的操作 429
10.3 測試平臺編碼 431
10.4 指針的使用 432
10.5 流的使用 437
10.6 支持庫 438
10.6.1 FFT 439
10.6.2 FIR 442
10.6.3 移位寄存器 446
10.6.4 線性算法庫 447
10.6.5 OpenCV庫 448
10.7 Vivado HLS數字系統實現 453
10.7.1 基于HLS實現組合邏輯 453
10.7.2 基于HLS實現時序邏輯 468
10.7.3 基于HLS實現矩陣相乘 476
第 章 HDMI顯示屏驅動原理和實現 495
11.1 HDMI的發展歷史 495
11.2 HDMI視頻顯示接口定義 496
11.3 HDMI鏈路結構 497
11.4 HDMI鏈路時序要求 499
11.5 HDMI編碼算法 500
11.6 HDMI并行編碼數據轉換原理及實現 504
11.6.1 數據并行-串行轉換 505
11.6.2 三態并行-串行轉換器 505
11.6.3 OSERDESE2原語 505
11.6.4 TMDS信號轉換模塊 508
11.6.5 HDMI并行編碼數據轉換的實現 508
11.7 系統整體設計結構 510
附錄 a7-edp-1開發板原理圖 512
序: